Reset Design Command (Timing Analyzer)

You access this command by double-clicking Constraints > Reset Design in the Timing Analyzer.

Removes all timing constraints and exceptions from the design. You can use this command to restart your design without having to delete and re-create the timing netlist.

Scripting Information

Keyword: reset_design