Article ID: 000073785 Content Type: Troubleshooting Last Reviewed: 09/17/2013

Is it possible to use the crcblock WYSIWYG component and the CRC_ERROR signal internally without enabling the external CRC_ERROR pin in the Quartus II design software for Stratix V, Arria V, or Cyclone V devices?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

Yes, it is possible to use the crcblock WYSIWYG component and the CRC_ERROR signal internally without enabling the external CRC_ERROR pin in the Quartus® II software for Stratix® V, Arria® V, or Cyclone® V devices.

Related Products

This article applies to 15 products

Arria® V ST SoC FPGA
Arria® V SX SoC FPGA
Stratix® V E FPGA
Stratix® V GS FPGA
Stratix® V GT FPGA
Stratix® V GX FPGA
Cyclone® V E FPGA
Cyclone® V GT FPGA
Cyclone® V GX FPGA
Cyclone® V SE SoC FPGA
Cyclone® V ST SoC FPGA
Cyclone® V SX SoC FPGA
Arria® V GT FPGA
Arria® V GX FPGA
Arria® V GZ FPGA