Article ID: 000074586 Content Type: Troubleshooting Last Reviewed: 09/11/2012

Why must I connect PLL input to a dedicated clock input pin for ALTMEMPHY based memory controller design?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

In order to minimize output clock jitter, reference input clock pin to the ALTMEMPHY PLL must not be routed through the core using global or regional clock networks.

 

The reference input clock signal to the PLL must be driven by the dedicated clock input pin located adjacent to the PLL, or from the clock output signal from the adjacent PLL.

 

Input and output delays are only fully compensated for when the dedicated clock input pins associated with that specific PLL are used as the clock source.

 

If the clock source for the PLL is not a dedicated clock pin for that specific PLL, jitter is increased, timing margin suffers, and the design may require an additional global or regional clock.

 

Hence, dedicated PLL input clock pin is strongly commended for clock source for ALTMEMPHY PLL.

 

If the reference clock is cascaded from another PLL, that upstream PLL must be configured in No compensation mode and Low bandwidth mode.

Related Products

This article applies to 1 products

Stratix® III FPGAs