Article ID: 000088178 Content Type: Troubleshooting Last Reviewed: 11/02/2021

Why does programming a configuration device with Cyclone® IV E EP4CE75 devices fail when a jtag indirect configuration (.jic) file is used?

Environment

  • Intel® Quartus® Prime Standard Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem with the factory default Serial Flash Loader (SFL) in the Programmer tool of the Intel® Quartus® Prime Software, programming a configuration device with Cyclone® IV E EP4CE75 device may fail when a jtag indirect configuration (.jic) file is used. This problem affects the following versions of the Intel® Quartus® Prime Software:

    • Intel® Quartus® Prime Standard Edition Software v20.1.1 and earlier
    • Intel® Quartus® Prime Pro Edition Software v21.2 and earlier
    Resolution

    Use the Programmer tool of the following version of the Intel® Quartus® Prime Software:

    • Intel® Quartus® Prime Standard Edition Software v21.1 and later
    • Intel® Quartus® Prime Pro Edition Software v21.3 and later

    Related Products

    This article applies to 1 products

    Cyclone® IV E FPGA