AN 584: Timing Closure Methodology for Advanced FPGA Designs

ID 683145
Date 10/08/2021
Public
Document Table of Contents

1.1. Plan Early for Timing Closure

Planning for timing closure early in the design cycle can help you identify issues before they become a challenge requiring debug. The decisions that you make early in the design phase have a great effect on later phases of your design, such as how to partition the design, the simulation strategy, and the verification strategy. By considering these factors at the preliminary stages of the design, you can avoid problems that might arise later. Do not wait for all the blocks to be coded to compile the entire design for the first time.

Always practice synchronous design techniques and follow Intel FPGA recommended HDL coding practices that are independent of other EDA tools. For an effective design, you must choose the target device architecture and properly constrain your design for timing. Identify any false and multicycle paths in your design to generate an accurate timing analysis report. The accuracy of timing analysis is dependent on the proper application of timing constraints and exceptions. Proper constraints and exceptions cause the Compiler to apply extra effort in specific areas to meet the constraints.

For more details about good design and coding practices, and constraining your design for timing, refer to Intel® Quartus® Prime Pro Edition User Guide: Design Recommendations.