Quartus® Prime Pro Edition User Guide: Timing Analyzer

ID 683243
Date 4/01/2024
Public
Document Table of Contents

2.3.5.2.4. Exporting a Design Partition with Entity-bound Constraints

The following example illustrates exporting a partition that includes entity bound constraints for use in another project. This example uses the fifo entity.

Figure 78. Entity-Bound Constraints Design Example


Perform these steps to export a design partition with entity-bound constraints:

  1. After applying the constraints to the fifo entity, click Fitter on the Compilation Dashboard to run the Fitter. The Messages window report when the Fitter is complete.
  2. Click Assignments > Design Partitions Window and define Default Type design partition for the fifo entity in the Assignments View tab of the Design Partition dialog box.
    Figure 79.  Assignments View of the Design Partition Window
  3. Specify the entity-bound SDC File name and Type to establish the binding between the fifo entity and the .sdc file.
    Figure 80. File Properties Dialog
  4. Click Compile Design on the Compilation Dashboard to run a full compilation and apply the changes to your project. The Timing Analyzer opens by default following a successful full compilation.
  5. Confirm the correct association of the .sdc file with the fifo entity by reviewing the SDC File List report in the Timing Analyzer.
    Figure 81. SDC File List Report in the Timing Analyzer
  6. Click Project > Export Design Partition and specify the following options:
    1. In the Partition name list, select the partition to export.
    2. In Partition Database File, specify the partition database file.
    3. Turn on the Include entity-bound SDC files for the selected partition option for entity-bound SDC files linked to the chosen partitions.
    4. For Snapshot, specify Synthesized or Final.
    Figure 82. Export Design Partition Dialog