Intel® Quartus® Prime Standard Edition User Guide: Scripting

ID 683325
Date 9/24/2018
Public
Document Table of Contents

2.2. Intel® Quartus® Prime Tcl Packages

The Intel® Quartus® Prime software groups Tcl commands into packages by function.
Table 4.   Intel® Quartus® Prime Tcl Packages
Package Name Package Description
backannotate Back annotate assignments
chip_planner Identify and modify resource usage and routing with the Chip Editor
database_manager Manage version-compatible database files
device Get device and family information from the device database
external_memif_toolkit Interact with external memory interfaces and debug components
fif Contains the set of Tcl functions for using the Fault Injection File (FIF) Driver
flow Compile a project, run command-line executables, and other common flows
incremental compilation Manipulate design partitions and Logic Lock (Standard) regions, and settings related to incremental compilation
insystem_memory_edit Read and edit memory contents in Intel devices
insystem_source_probe Interact with the In-System Sources and Probes tool in an Intel device
iptclgen Generate memory IP
jtag Control the JTAG chain
logic_analyzer_interface Query and modify the Logic Analyzer Interface output pin state
misc Perform miscellaneous tasks such as enabling natural bus naming, package loading, and message posting
partial_reconfiguration Contain the set of Tcl functions for performing partial reconfiguration
project Create and manage projects and revisions, make any project assignments including timing assignments
report Get information from report tables, create custom reports
rtl Traverse and query the RTL netlist of your design
sdc Specify constraints and exceptions to the Timing Analyzer
sdc_ext Intel-specific SDC commands
simulator Configure and perform simulations
sta Contain the set of Tcl functions for obtaining advanced information from the Timing Analyzer
stp Run the Signal Tap Logic Analyzer
synthesis_report Contain the set of Tcl functions for the Dynamic Synthesis Report tool
tdc Obtain information from the Timing Analyzer

To keep memory requirements as low as possible, only the minimum number of packages load automatically with each Intel® Quartus® Prime executable. To run commands from other packages, load those packages beforehand.

Run your scripts with executables that include the packages you use in the scripts. For example, to use commands in the sdc_ext package, you must use the quartus_sta executable because quartus_sta is the only executable with support for the sdc_ext package.

The following command prints lists of the packages loaded or available to load for an executable, to the console:

<executable name> --tcl_eval help

For example, type the following command to list the packages loaded or available to load by the quartus_fit executable:

quartus_fit --tcl_eval help