Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/01/2024
Public
Document Table of Contents

1.9.2.4. Running RTL Simulation using Run Simulation

To run RTL Simulation using the Run Simulation feature, follow these steps:

  1. Set up the Run Simulation feature, as Setting Up the Run Simulation Feature describes.
  2. If your design includes Intel FPGA IP, you generate the simulation model and setup scripts for IP components and Platform Designer systems when generating HDL for these IP. Click the Generate HDL button and specify Simulation options for model generation. For system and IP generation details, refer to Quartus® Prime Pro Edition User Guide: Platform Designer.
  3. Click Processing > Start > Start Analysis & Elaboration. The Compilation Dashboard indicates when Analysis & Elaboration completes successfully.
  4. In Quartus Prime Tcl Console, type the following command:
    execute_flow -simulation

    The Run Simulation feature compiles the simulation libraries and runs your simulator automatically, according to your settings when Setting Up the Run Simulation Feature. While the simulator is open, you are unable to make changes in the Quartus® Prime software. This prevents changes to the simulation settings during simulation. The Quartus® Prime software displays simulation output messages from the EDA simulator in the Messages window.

  5. Analyze the simulation results in your simulator. Correct any functional errors in your design, testbench, or simulation script files. If necessary, re-simulate your design to verify correct behavior.