Triple-Speed Ethernet Stratix® 10 Intel® FPGA IP Design Example User Guide

ID 683551
Date 4/30/2024
Public
Document Table of Contents

2.1.3.1. Procedure

You can simulate the design by running a simulation script from the command prompt.

Follow these steps to simulate the testbench:

  1. Change to the testbench simulation directory <design_example_dir>/example_testbench/<Simulator>.
  2. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
    Simulator Working Directory Command
    ModelSim* <Example Design>/example_testbench/mentor vsim -c -do run_vsim.do
    VCS* <Example Design>/example_testbench/synopsys/vcs sh tb_run.sh
    VCS* MX <Example Design>/example_testbench/synopsys/vcsmx sh tb_run.sh
    Xcelium* <Example Design>/example_testbench/xcelium sh tb_run.sh
A successful simulation ends with the following message:
Simulation passed.

After successful completion, you can analyze the results.